37use ieee.std_logic_1164.
all;
38use ieee.numeric_std.
all;
65 report "assert(DCWIDTH=2 or DCWIDTH=3): unsupported DCWIDTH"
69 variable idat : slv(4*(2**DCWIDTH)-1 downto 0) := (others=>'0');
71 idat := (others=>'0');
105 end process proc_mux;
integer range 4*( 2** DCWIDTH)- 1 downto 4*( 2** DCWIDTH)- 16 dspdat_msb
integer range 15 downto 0 dspdat_lsb
out DSP_DAT slv( 4*( 2** DCWIDTH)- 1 downto 0)
in DM_STAT_EXP dm_stat_exp_type
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 1 downto 0) slv2