21use ieee.std_logic_1164.
all;
22use ieee.numeric_std.
all;
60 assert DELAY > 0 ns report "DELAY > 0 ns" severity failure;
100 assert B = R_B report "driver clash B port" severity error;
102 assert A = R_A report "driver clash A port" severity error;
(s_idle,s_a2b,s_b2a) state_type
state_type := s_idle R_STATE
slv( DWIDTH- 1 downto 0) :=( others => 'Z') R_B
slv( DWIDTH- 1 downto 0) :=( others => 'Z') R_A
slv( DWIDTH- 1 downto 0) :=( others => 'Z') all_z
inout B slv( DWIDTH- 1 downto 0)
inout A slv( DWIDTH- 1 downto 0)