37use ieee.std_logic_1164.
all;
38use ieee.numeric_std.
all;
128 if rising_edge(CLK) then
139 end process proc_regs;
144 variable idout : slv16 := (others=>'0');
145 variable ibreq : slbit := '0';
146 variable ibrd : slbit := '0';
147 variable ibw0 : slbit := '0';
148 variable ibw1 : slbit := '0';
149 variable ilam : slbit := '0';
155 idout := (others=>'0');
170 if r.ibsel = '1' then
171 case IB_MREQ.addr(2 downto 1) is
186 (r.rerr='1' or r.rdone='1') then
197 n.rbuf := (others=>'0');
236 n.rbuf := (others=>'0');
266 (r.perr='1' or r.prdy='1') then
293 n.pbuf := IB_MREQ.din(n.pbuf'range);
305 idout(r.pbuf'range) := r.pbuf;
333 IB_SRES.ack <= r.ibsel and ibreq;
340 end process proc_next;
integer := 0 rbuf_ibf_psize0
integer := 15 pbuf_ibf_pval
integer := 15 rcsr_ibf_rerr
integer := 0 rcsr_ibf_renb
regs_type := regs_init N_REGS
integer := 5 rcsr_ibf_rir
integer := 3 rcsr_ibf_ique
integer := 2 rcsr_ibf_iack
integer := 15 pcsr_ibf_perr
slv16 := slv( to_unsigned( 8#177550#, 16) ) ibaddr_pc11
integer := 5 pcsr_ibf_pir
integer range 7 downto 0 rbuf_ibf_data
integer := 8 rbuf_ibf_rsize0
integer := 11 rcsr_ibf_rbusy
integer := 7 rcsr_ibf_rdone
regs_type := regs_init R_REGS
integer := 6 pcsr_ibf_pie
integer := 6 rcsr_ibf_rie
integer := 8 pbuf_ibf_pval8
integer := 15 rbuf_ibf_rbusy
integer := 7 pcsr_ibf_prdy
regs_type :=( '0', '1', '0', '0', '0',( others => '0'), '0', '0', '0', '1', '1', '0',( others => '0'), '0') regs_init
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8
std_logic_vector( 1 downto 0) slv2