28use ieee.std_logic_1164.
all;
29use ieee.numeric_std.
all;
46end record ib_mreq_type;
58end record ib_sres_type;
83 IB_SRES_2 :
in ib_sres_type := ib_sres_init;
90 IB_SRES_2 :
in ib_sres_type := ib_sres_init;
91 IB_SRES_3 :
in ib_sres_type := ib_sres_init;
98 IB_SRES_2 :
in ib_sres_type := ib_sres_init;
99 IB_SRES_3 :
in ib_sres_type := ib_sres_init;
100 IB_SRES_4 :
in ib_sres_type := ib_sres_init;
105component ib_sres_or_gen
is
107 WIDTH :
natural :=
4);
109 IB_SRES_IN :
in ib_sres_vector(
1 to WIDTH);
110 IB_SRES_OR :
out ib_sres_type
117end record intmap_type;
125 INTMAP : intmap_array_type := intmap_array_init);
141 INTMAP : intmap24_array_type := intmap24_array_init);
206 IB_SRES_2 :
in ib_sres_type := ib_sres_init;
207 IB_SRES_3 :
in ib_sres_type := ib_sres_init;
208 IB_SRES_4 :
in ib_sres_type := ib_sres_init
INTMAP intmap24_array_type := intmap24_array_init
INTMAP intmap_array_type := intmap_array_init
in IB_SRES_2 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type
in IB_SRES_3 ib_sres_type := ib_sres_init
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
in IB_SRES_SUM ib_sres_type
IB_ADDR slv16 := slv( to_unsigned( 8#160000#, 16) )
IB_ADDR slv16 := slv( to_unsigned( 8#170000#, 16) )
array(natural range <> ) of ib_sres_type ib_sres_vector
intmap_type :=( 0, 0) intmap_init
integer range 15 downto 8 ibf_byte1
ib_sres_type :=( '0', '0',( others => '0')) ib_sres_init
( 23 downto 0) intmap_type intmap24_array_type
integer range 7 downto 0 ibf_byte0
intmap_array_type :=( others => intmap_init) intmap_array_init
ib_mreq_type :=( '0', '0', '0', '0', '0', '0', '0', '0',( others => '0'),( others => '0')) ib_mreq_init
( 15 downto 0) intmap_type intmap_array_type
intmap24_array_type :=( others => intmap_init) intmap24_array_init
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 8 downto 2) slv9_2
std_logic_vector( 12 downto 1) slv13_1
std_logic_vector( 15 downto 1) slv16_1
std_logic_vector( 23 downto 1) slv24_1
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8