43use ieee.std_logic_1164.
all;
44use ieee.numeric_std.
all;
89 subtype lim_rbf is integer range 15 downto 1;
121 if rising_edge(CLK) then
129 end process proc_regs;
137 variable irb_ack : slbit := '0';
138 variable irb_err : slbit := '0';
139 variable irb_busy : slbit := '0';
140 variable irb_dout : slv16 := (others=>'0');
141 variable irbena : slbit := '0';
142 variable ihbpt : slbit := '0';
152 irb_dout := (others=>'0');
165 if r.rbsel = '1' then
167 case RB_MREQ.addr(1 downto 0) is
198 if r.rbsel = '1' then
199 case RB_MREQ.addr(1 downto 0) is
225 if r.irena = '1' then
234 if r.dwena = '1' then
242 if r.drena = '1' then
261 end process proc_next;
slv2 := "10" cntl_mode_all
slv2 := "10" rbaddr_hilim
integer := 1 stat_rbf_dwseen
regs_type := regs_init N_REGS
integer := 2 cntl_rbf_irena
slv2 := "11" rbaddr_lolim
regs_type :=( '0', "00", '0', '0', '0', '0', '0', '0',( others => '0'),( others => '0')) regs_init
integer := 1 cntl_rbf_dwena
integer := 0 stat_rbf_drseen
regs_type := regs_init R_REGS
integer range 15 downto 1 lim_rbf
integer := 2 stat_rbf_irseen
integer := 0 cntl_rbf_drena
integer range 5 downto 4 cntl_rbf_mode
in DM_STAT_DP dm_stat_dp_type
in DM_STAT_CO dm_stat_co_type
in DM_STAT_SE dm_stat_se_type
RB_ADDR slv16 := rbaddr_dmhbpt_off
in DM_STAT_VM dm_stat_vm_type
std_logic_vector( 15 downto 1) slv16_1
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 1 downto 0) slv2