35use ieee.std_logic_1164.
all;
36use ieee.numeric_std.
all;
76 proc_regs:
process (
CLK)
78 if rising_edge(CLK) then
85 end process proc_regs;
90 variable irb_ack : slbit := '0';
91 variable irb_dout : slv16 := (others=>'0');
98 irb_dout := (others=>'0');
107 if r.rbsel = '1' then
123 if r.timer_act = '1' then
124 if unsigned(r.timer) = 0 then
128 n.timer := slv(unsigned(r.timer) - 1);
143 end process proc_next;
regs_type := regs_init N_REGS
regs_type := regs_init R_REGS
regs_type :=( '0',( others => '0'), '0', '0') regs_init
RB_ADDR slv16 :=( others => '0')
std_logic_vector( 15 downto 0) slv16