21use ieee.std_logic_1164.
all;
22use ieee.numeric_std.
all;
23use ieee.std_logic_textio.
all;
43 proc_simbus:
process (SB_VAL)
45 if SB_VAL'event and to_x01(SB_VAL)='1' then
50 end process proc_simbus;
std_logic_vector( 7 downto 0) slv8
std_logic_vector( 1 downto 0) slv2
slv8 := slv( to_unsigned( 17, 8) ) sbaddr_btn
slv2 :=( others => '0') R_BTN