22use ieee.std_logic_1164.
all;
23use ieee.numeric_std.
all;
30component bp_swibtnled_rbus
is
32 SWIDTH :
positive :=
4;
33 BWIDTH :
positive :=
4;
34 LWIDTH :
positive :=
4;
35 DEBOUNCE :
boolean := true;
36 RB_ADDR : slv16 := x
"fef0");
39 RESET :
in slbit := '
0';
41 RB_MREQ :
in rb_mreq_type;
42 RB_SRES :
out rb_sres_type;
43 SWI :
out slv(SWIDTH
-1 downto 0);
44 BTN :
out slv(BWIDTH
-1 downto 0);
45 LED :
in slv(LWIDTH
-1 downto 0);
46 I_SWI :
in slv(SWIDTH
-1 downto 0);
47 I_BTN :
in slv(BWIDTH
-1 downto 0);
48 O_LED :
out slv(LWIDTH
-1 downto 0)
79component sn_humanio_demu_rbus
is
81 DEBOUNCE :
boolean := true;
82 RB_ADDR : slv16 := x
"fef0");
85 RESET :
in slbit := '
0';
87 RB_MREQ :
in rb_mreq_type;
88 RB_SRES :
out rb_sres_type;
in DIMCNTL slv( DWIDTH- 1 downto 0)
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8
in DSP_DP slv(( 2** DCWIDTH)- 1 downto 0)
in DSP_DAT slv( 4*( 2** DCWIDTH)- 1 downto 0)
out SWI slv( SWIDTH- 1 downto 0)
out BTN slv( BWIDTH- 1 downto 0)
in LED slv( LWIDTH- 1 downto 0)
in DSP_DP slv(( 2** DCWIDTH)- 1 downto 0)
out O_LED slv( LWIDTH- 1 downto 0)
in DSP_DAT slv( 4*( 2** DCWIDTH)- 1 downto 0)
out SWI slv( SWIDTH- 1 downto 0)
in I_BTN slv( BWIDTH- 1 downto 0)
in I_SWI slv( SWIDTH- 1 downto 0)
out BTN slv( BWIDTH- 1 downto 0)
out O_ANO_N slv(( 2** DCWIDTH)- 1 downto 0)
in LED slv( LWIDTH- 1 downto 0)