23use ieee.std_logic_1164.
all;
24use ieee.numeric_std.
all;
65 proc_regs:
process (
CLK)
68 if rising_edge(CLK) then
76 end process proc_regs;
83 variable idata : slv8 := (others=>'0');
84 variable iecode : slv3 := (others=>'0');
85 variable iesc : slbit := '0';
86 variable ibusy : slbit := '0';
95 iecode := '0' & DI(1 downto 0);
99 case DI(7 downto 0) is
103 iecode := c_cdata_ec_xon;
108 iecode := c_cdata_ec_xoff;
113 iecode := c_cdata_ec_fill;
115 when c_cdata_escape =>
117 iecode := c_cdata_ec_esc;
123 idata := DI(7 downto 0);
125 idata := c_cdata_escape;
132 if r.ecodeval = '1' then
133 n.data(c_cdata_edf_pref) := c_cdata_ed_pref;
134 n.data(c_cdata_edf_eci) := not r.ecode;
135 n.data(c_cdata_edf_ec ) := r.ecode;
155 end process proc_next;
regs_type := regs_init N_REGS
regs_type := regs_init R_REGS
regs_type :=(( others => '0'),( others => '0'), '0', '0') regs_init
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 8 downto 0) slv9
std_logic_vector( 7 downto 0) slv8