33use ieee.std_logic_1164.
all;
34use ieee.numeric_std.
all;
66 proc_regs:
process (
CLK)
68 if rising_edge(CLK) then
75 end process proc_regs;
85 n.cev := (others=>'0');
90 n.cnt := slv(unsigned(r.cnt) + 1);
91 if r.cnt(0 downto 0) = "1" then n.cev(2) := '1';
end if;
92 if r.cnt(1 downto 0) = "11" then n.cev(3) := '1';
end if;
93 if r.cnt(2 downto 0) = "111" then n.cev(4) := '1';
end if;
94 if r.cnt(4 downto 0) = "11111" then n.cev(5) := '1';
end if;
95 if r.cnt(5 downto 0) = "111111" then n.cev(6) := '1';
end if;
96 if r.cnt(6 downto 0) = "1111111" then n.cev(7) := '1';
end if;
104 end process proc_next;
regs_type := regs_init N_REGS
regs_type :=(( others => '0'),( others => '0')) regs_init
regs_type := regs_init R_REGS
std_logic_vector( 6 downto 0) slv7
std_logic_vector( 7 downto 0) slv8