33use ieee.std_logic_1164.
all;
34use ieee.numeric_std.
all;
69 proc_regs:
process (
CLK)
71 if rising_edge(CLK) then
78 end process proc_regs;
83 variable idone : slbit := '0';
84 variable ice : slbit := '0';
107 elsif START = '1' then
110 elsif r.busy = '1' then
112 n.cnt := slv(unsigned(r.cnt) + 1);
113 if r.cnt = "111" then
125 end process proc_next;
regs_type := regs_init N_REGS
regs_type := regs_init R_REGS
regs_type :=(( others => '0'), '0') regs_init
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 7 downto 0) slv8