36use ieee.std_logic_1164.
all;
37use ieee.numeric_std.
all;
120 constant T_rc : Delay_length := 10 ns;
121 constant T_aa : Delay_length := 10 ns;
122 constant T_oha : Delay_length := 2 ns;
123 constant T_ace : Delay_length := 10 ns;
124 constant T_doe : Delay_length := 4 ns;
129 constant T_ba : Delay_length := 4 ns;
130 constant T_hzb : Delay_length := 3 ns;
131 constant T_lzb : Delay_length := 0 ns;
147 if falling_edge(WE_EFF) then
150 ram(to_integer(unsigned(ADDR))) := to_x01(DATA);
153 if CE='1' and OE='1' and BE='1' and WE='0' then
154 DATA <= ram(to_integer(unsigned(ADDR)));
156 DATA <= (others=>'Z');
159 end process proc_sram;
Delay_length := 2 ns T_oha
Delay_length := 4 ns T_hzce
positive := 2**( ADDR'length) memsize
Delay_length := 3 ns T_lzce
Delay_length := 10 ns T_aa
Delay_length := 4 ns T_ba
Delay_length := 3 ns T_hzb
( 0 to memsize- 1) slv(DATA) ram_type
slv(DATA) :=( others => '0') datzero
Delay_length := 10 ns T_rc
Delay_length := 4 ns T_doe
Delay_length := 0 ns T_lzoe
Delay_length := 0 ns T_lzb
Delay_length := 4 ns T_hzoe
Delay_length := 10 ns T_ace
std_logic_vector( 17 downto 0) slv18
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8