53use ieee.std_logic_1164.
all;
54use ieee.numeric_std.
all;
78 constant T_aa : Delay_length := 70 ns;
79 constant T_apa : Delay_length := 20 ns;
80 constant T_oh : Delay_length := 5 ns;
81 constant T_oe : Delay_length := 20 ns;
82 constant T_ohz : Delay_length := 8 ns;
83 constant T_olz : Delay_length := 3 ns;
84 constant T_lz : Delay_length := 10 ns;
85 constant T_hz : Delay_length := 8 ns;
97 subtype bcr_f_lc is integer range 13 downto 11;
169 end process proc_adv;
180 variable addr_last : slv23 := (others=>'1');
182 if (CE'event and CE='1') or
185 (WE'event and WE='0') or
186 (ADV'event and ADV='1') then
191 if L_ADDR(22 downto 4) /= addr_last(22 downto 4) then
196 if rising_edge(OE) then
199 end process proc_dout_val;
221 end process proc_dout_lz;
238 end process proc_cram;
253 report "bad rcr write: 22:20 not zero" severity error;
255 report "bad rcr write: 17: 8 not zero" severity error;
257 report "bad rcr write: 6: 5 not zero" severity error;
259 report "bad rcr write: dpd not '1'" severity error;
261 report "bad rcr write: 3: 3 not zero" severity error;
263 report "bad rcr write: par not '000'" severity error;
266 report "bcr written - not supported" severity error;
268 report "bad select field" severity error;
275 variable idout : slv16 := (others=>'0');
280 idout := (others=>'X');
283 idout := (others=>'Z');
286 end process proc_data;
288 proc_mwait:
process (
CE)
296 end process proc_mwait;
Delay_length := 8 ns T_hz
integer range 19 downto 18 xcr_f_sel
integer range 2 downto 0 bcr_f_bl
integer range 15 downto 8 f_byte1
positive := 2**( ADDR'length) memsize
integer range 7 downto 0 f_byte0
Delay_length := 3 ns T_olz
integer range 6 downto 5 rcr_f_res1
Delay_length := 8 ns T_ohz
Delay_length := 20 ns T_oe
Delay_length := 70 ns T_aa
integer range 5 downto 4 bcr_f_drive
Delay_length := 20 ns T_apa
Delay_length := T_aa R_T_APA_EFF
integer range 17 downto 8 rcr_f_res2
slv16 :=( others => '0') DOUT
( 0 to memsize- 1) slv(DATA) ram_type
integer range 2 downto 0 rcr_f_par
integer range 13 downto 11 bcr_f_lc
Delay_length := 5 ns T_oh
slv23 :=( others => '1') L_ADDR
slv(DATA) :=( others => '0') datzero
Delay_length := 10 ns T_lz
integer range 22 downto 20 rcr_f_res3
std_logic_vector( 22 downto 0) slv23
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 1 downto 0) slv2