22use ieee.std_logic_1164.
all;
23use ieee.numeric_std.
all;
46 report "assert(LWIDTH=8 or LWIDTH=16): unsupported LWIDTH"
50 variable iled : slv(LWIDTH-1 downto 0) := (others=>'0');
52 iled := (others=>'0');
out LED slv( LWIDTH- 1 downto 0)
in DM_STAT_EXP dm_stat_exp_type
std_logic_vector( 7 downto 0) slv8