20use ieee.std_logic_1164.
all;
21use ieee.numeric_std.
all;
56 proc_regs:
process (
CLK)
59 if rising_edge(CLK) then
67 end process proc_regs;
79 n.dimcnt := slv(unsigned(r.dimcnt) + 1);
81 n.rgbena(2) := r.rgbena(1);
82 n.rgbena(1) := r.rgbena(0);
83 n.rgbena(0) := r.rgbena(2);
89 end process proc_next;
regs_type :=( "001", dimones) regs_init
regs_type := regs_init N_REGS
slv( DWIDTH- 1 downto 0) :=( others => '1') dimones
regs_type := regs_init R_REGS
out DIMCNTL slv( DWIDTH- 1 downto 0)
std_logic_vector( 2 downto 0) slv3