32use ieee.std_logic_1164.
all;
33use ieee.numeric_std.
all;
out CLKDIV slv( CDWIDTH- 1 downto 0)
slv3 :=( others => '0') CLKDIV_F
slv( CDWIDTH- 1 downto 0) := slv( to_unsigned( 0, CDWIDTH) ) CLKDIV
out ABCLKDIV slv( CDWIDTH- 1 downto 0)
in CLKDIV slv( CDWIDTH- 1 downto 0)
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 7 downto 0) slv8