25use ieee.std_logic_1164.
all;
63 proc_regs:
process (
CLK)
66 if rising_edge(CLK) then
73 end process proc_regs;
80 when "00000" => N_DATA <= "00001";
81 when "00001" => N_DATA <= "00011";
82 when "00011" => N_DATA <= "00010";
83 when "00010" => N_DATA <= "00110";
84 when "00110" => N_DATA <= "00111";
85 when "00111" => N_DATA <= "00101";
86 when "00101" => N_DATA <= "00100";
87 when "00100" => N_DATA <= "01100";
88 when "01100" => N_DATA <= "01101";
89 when "01101" => N_DATA <= "01111";
90 when "01111" => N_DATA <= "01110";
91 when "01110" => N_DATA <= "01010";
92 when "01010" => N_DATA <= "01011";
93 when "01011" => N_DATA <= "01001";
94 when "01001" => N_DATA <= "01000";
95 when "01000" => N_DATA <= "11000";
96 when "11000" => N_DATA <= "11001";
97 when "11001" => N_DATA <= "11011";
98 when "11011" => N_DATA <= "11010";
99 when "11010" => N_DATA <= "11110";
100 when "11110" => N_DATA <= "11111";
101 when "11111" => N_DATA <= "11101";
102 when "11101" => N_DATA <= "11100";
103 when "11100" => N_DATA <= "10100";
104 when "10100" => N_DATA <= "10101";
105 when "10101" => N_DATA <= "10111";
106 when "10111" => N_DATA <= "10110";
107 when "10110" => N_DATA <= "10010";
108 when "10010" => N_DATA <= "10011";
109 when "10011" => N_DATA <= "10001";
110 when "10001" => N_DATA <= "10000";
111 when "10000" => N_DATA <= "00000";
114 end process proc_next;
slv5 :=( others => '0') R_DATA
slv5 :=( others => '0') N_DATA
std_logic_vector( 4 downto 0) slv5