35use ieee.std_logic_1164.
all;
36use ieee.numeric_std.
all;
89 proc_regs:
process (
CLK)
91 if rising_edge(CLK) then
101 end process proc_regs;
106 variable idout : slv16 := (others=>'0');
107 variable ibreq : slbit := '0';
108 variable ibw0 : slbit := '0';
114 idout := (others=>'0');
126 if r.ibsel = '1' then
135 if r.ibsel='1' and ibw0='1' then
149 n.tcnt := slv(unsigned(r.tcnt) + 1);
150 if unsigned(r.tcnt) = tdivide-1 then
151 n.tcnt := (others=>'0');
166 IB_SRES.ack <= r.ibsel and ibreq;
171 end process proc_next;
integer := 7 lks_ibf_moni
slv16 := slv( to_unsigned( 8#177546#, 16) ) ibaddr_kw11l
regs_type := regs_init N_REGS
regs_type := regs_init R_REGS
regs_type :=( '0', '0', '1', '0',( others => '0')) regs_init
std_logic_vector( 15 downto 0) slv16