40use ieee.std_logic_1164.
all;
41use ieee.numeric_std.
all;
98 proc_regs:
process (
CLK)
100 if rising_edge(CLK) then
110 end process proc_regs;
115 variable idout : slv16 := (others=>'0');
116 variable ibreq : slbit := '0';
117 variable ibrd : slbit := '0';
118 variable ibw0 : slbit := '0';
119 variable ibw1 : slbit := '0';
120 variable ilam : slbit := '0';
126 idout := (others=>'0');
141 if r.ibsel = '1' then
142 case IB_MREQ.addr(1 downto 1) is
153 if r.done='1' and r.ie='0' then
177 n.buf := IB_MREQ.din(n.buf'range);
192 idout(r.buf'range) := r.buf;
216 IB_SRES.ack <= r.ibsel and ibreq;
222 end process proc_next;
integer := 15 csr_ibf_err
integer := 8 buf_ibf_val8
regs_type := regs_init N_REGS
integer := 7 csr_ibf_done
slv16 := slv( to_unsigned( 8#177514#, 16) ) ibaddr_lp11
regs_type :=( '0', '1', '1', '0',( others => '0'), '0') regs_init
regs_type := regs_init R_REGS
integer := 15 buf_ibf_val
std_logic_vector( 6 downto 0) slv7
std_logic_vector( 0 downto 0) slv1
std_logic_vector( 15 downto 0) slv16