34use ieee.std_logic_1164.
all;
35use ieee.numeric_std.
all;
73 proc_regs:
process (
CLK)
75 if rising_edge(CLK) then
82 end process proc_regs;
87 variable idout : slv16 := (others=>'0');
88 variable ibreq : slbit := '0';
94 idout := (others=>'0');
105 if r.ibsel = '1' then
114 if r.ibsel='1' and IB_MREQ.we='1' then
117 n.dreg(ibf_byte1) := IB_MREQ.din(ibf_byte1);
120 n.dreg(ibf_byte0) := IB_MREQ.din(ibf_byte0);
130 IB_SRES.ack <= r.ibsel and ibreq;
135 end process proc_next;
regs_type := regs_init N_REGS
regs_type :=( '0',( others => '0'),( others => '0')) regs_init
regs_type := regs_init R_REGS
slv16 := slv( to_unsigned( 8#177570#, 16) ) ibaddr_sdreg
std_logic_vector( 15 downto 0) slv16