31use ieee.std_logic_1164.
all;
32use ieee.numeric_std.
all;
60 signal R_PSW : psw_type := psw_init;
75 variable idout : slv16 := (others=>'0');
77 idout := (others=>'0');
79 idout(psw_ibf_cmode) := R_PSW.cmode;
80 idout(psw_ibf_pmode) := R_PSW.pmode;
81 idout(psw_ibf_rset) := R_PSW.rset;
82 idout(psw_ibf_pri) := R_PSW.pri;
83 idout(psw_ibf_tflag) := R_PSW.tflag;
84 idout(psw_ibf_cc) := R_PSW.cc;
89 end process proc_ibres;
91 proc_psw :
process (
CLK)
94 if rising_edge(CLK) then
109 when c_psr_func_wspl =>
112 when c_psr_func_wcc =>
119 when c_psr_func_wint =>
127 when c_psr_func_wrti =>
134 when c_psr_func_wall =>
161 end process proc_psw;
psw_type := psw_init R_PSW
slv16 := slv( to_unsigned( 8#177776#, 16) ) ibaddr_psr
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 15 downto 0) slv16