21use ieee.std_logic_1164.
all;
22use ieee.numeric_std.
all;
69 proc_regs:
process (
CLK)
72 if rising_edge(CLK) then
82 if R_COL(2) = '1' then
90 end process proc_regs;
109 end process proc_mux;
out PAD slv( DWIDTH- 1 downto 0)
in DO slv( DWIDTH- 1 downto 0)
slv3 :=( others => '0') RGB1
slv3 :=( others => '0') RGB0
slv3 :=( others => '0') RGB2
slv3 :=( others => '0') RGB3
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 2 downto 0) slv3