22use ieee.std_logic_1164.
all;
23use ieee.numeric_std.
all;
60 DIN => RLB_MONI.rxval,
69 DIN => RLB_MONI.txena,
73 proc_leddiv:
process (
CLK)
76 if rising_edge(CLK) then
86 end process proc_leddiv;
104 end process proc_ledmux;
slv6 :=( others => '0') R_LEDDIV
in SER_MONI serport_moni_type
in RLB_MONI rlb_moni_type
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 5 downto 0) slv6