25use ieee.std_logic_1164.
all;
26use ieee.numeric_std.
all;
51 MONI : out sramif2migui_moni_type;
78 signal APP_ADDR : slv(mig_mawidth-1 downto 0) := (others=>'0');
101 BAWIDTH => mig_bawidth,
102 MAWIDTH => mig_mawidth
)
BUSY_WACK boolean := false
POUT_SINGLE boolean := false
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
out APP_RD_DATA slv( mig_dwidth- 1 downto 0)
out APP_RD_DATA_VALID slbit
out APP_RD_DATA_END slbit
in APP_WDF_MASK slv( mig_mwidth- 1 downto 0)
out INIT_CALIB_COMPLETE slbit
in APP_ADDR slv( mig_mawidth- 1 downto 0)
in APP_WDF_DATA slv( mig_dwidth- 1 downto 0)
out UI_CLK_SYNC_RST slbit
std_logic_vector( 13 downto 0) slv14
std_logic_vector( 19 downto 0) slv20
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 11 downto 0) slv12
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 0 downto 0) slv1
std_logic_vector( 31 downto 0) slv32
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 1 downto 0) slv2
slbit := '0' APP_RD_DATA_VALID
slbit := '0' APP_RD_DATA_END
slv( mig_dwidth- 1 downto 0) :=( others => '0') APP_RD_DATA
slv( mig_mawidth- 1 downto 0) :=( others => '0') APP_ADDR
slbit := '0' UI_CLK_SYNC_RST
slv3 :=( others => '0') APP_CMD
slv( mig_mwidth- 1 downto 0) :=( others => '0') APP_WDF_MASK
slbit := '0' APP_WDF_WREN
slbit := '0' INIT_CALIB_COMPLETE
slbit := '0' SYS_RST_BUSY
slv( mig_dwidth- 1 downto 0) :=( others => '0') APP_WDF_DATA
slv12 :=( others => '0') TEMP_MUI
out MONI sramif2migui_moni_type