21use ieee.std_logic_1164.
all;
22use ieee.numeric_std.
all;
90 proc_regs:
process (
CLK)
92 if rising_edge(CLK) then
99 end process proc_regs;
104 variable idout : slv16 := (others=>'0');
105 variable ibreq : slbit := '0';
106 variable iback : slbit := '0';
107 variable imemwe : slbit := '0';
113 idout := (others=>'0');
133 if r.ibselcsr = '1' then
146 if r.ibselmem = '1' then
169 end process proc_next;
regs_type :=( '0', '0', '0', '0', '0') regs_init
regs_type := regs_init N_REGS
slv16 := slv( to_unsigned( 8#173000#, 16) ) ibaddr_m9312_hi
slv16 :=( others => '0') BRAM_DO
regs_type := regs_init R_REGS
integer := 1 csr_ibf_enahi
slv16 := slv( to_unsigned( 8#165000#, 16) ) ibaddr_m9312_lo
slv9 :=( others => '0') BRAM_ADDR
integer := 7 csr_ibf_locwe
integer := 0 csr_ibf_enalo
in ADDR slv( AWIDTH- 1 downto 0)
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
std_logic_vector( 8 downto 0) slv9
std_logic_vector( 15 downto 0) slv16