24use ieee.std_logic_1164.
all;
25use ieee.numeric_std.
all;
78 report "assert( BAWIDTH = 3 or 4 )"
81 GEN_CLKMUI :
s7_cmt_sfs -- ui clock ------------
88 STARTUP_WAIT => false,
106 MARRAY: for col in mwidth-1 downto 0 generate
133 if rising_edge(CLK) then
142 end process proc_regs;
151 if rising_edge(CLK) then
155 report "migui2bram: FAIL: out of memory size access"
159 report "migui2bram: FAIL: APP_WDF_WREN=1 when APP_EN=0"
163 report "migui2bram: FAIL: APP_WDF_WREN /= APP_WDF_END"
167 end process proc_moni;
positive := 2** BAWIDTH mwidth
slbit := '0' LOCKED_UICLK
slv( RDELAY downto 0) :=( others => '0') R_RDVAL
out APP_RD_DATA_VALID slbit
out APP_RD_DATA_END slbit
in APP_WDF_MASK slv(( 2** BAWIDTH)- 1 downto 0)
CLKMSYS_PERIOD real := 6.000
in APP_WDF_DATA slv( 8*( 2** BAWIDTH)- 1 downto 0)
out INIT_CALIB_COMPLETE slbit
out APP_RD_DATA slv( 8*( 2** BAWIDTH)- 1 downto 0)
CLKMUI_DIV positive := 12
in APP_ADDR slv( MAWIDTH- 1 downto 0)
out UI_CLK_SYNC_RST slbit
in ADDR slv( AWIDTH- 1 downto 0)
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
std_logic_vector( 2 downto 0) slv3