28use ieee.std_logic_1164.
all;
29use ieee.numeric_std.
all;
68 variable irb_ack : slbit := '0';
69 variable irb_err : slbit := '0';
70 variable irb_dout : slv16 := (others=>'0');
75 irb_dout := (others=>'0');
85 when '0' => irb_dout := DATA(15 downto 0);
86 when '1' => irb_dout := DATA(31 downto 16);
97 end process proc_next;
slv32 :=( others => '0') DATA
RB_ADDR slv16 := rbaddr_usracc
std_logic_vector( 31 downto 0) slv32
std_logic_vector( 15 downto 0) slv16