72use ieee.std_logic_1164.
all;
73use ieee.numeric_std.
all;
210 if rising_edge(CLK) then
218 end process proc_regs;
224 variable ibusy : slbit := '0';
225 variable iackw : slbit := '0';
226 variable iactr : slbit := '0';
227 variable iactw : slbit := '0';
228 variable imem_ce : slv2 := "00";
229 variable imem_be : slv4 := "0000";
230 variable imem_we : slbit := '0';
231 variable imem_oe : slbit := '0';
232 variable iaddr_ce : slbit := '0';
233 variable idata_cei : slbit := '0';
234 variable idata_ceo : slbit := '0';
235 variable idata_oe : slbit := '0';
289 n.state := s_bta_r2w;
316 n.state := s_bta_w2r;
356 end process proc_next;
inout PAD slv( DWIDTH- 1 downto 0)
in DO slv( DWIDTH- 1 downto 0)
out DI slv( DWIDTH- 1 downto 0)
out PAD slv( DWIDTH- 1 downto 0)
in DO slv( DWIDTH- 1 downto 0)
regs_type := regs_init N_REGS
(s_idle,s_read,s_write1,s_write2,s_bta_r2w,s_bta_w2r) state_type
regs_type := regs_init R_REGS
regs_type :=( s_idle, '0') regs_init
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 17 downto 0) slv18
std_logic_vector( 31 downto 0) slv32
std_logic_vector( 1 downto 0) slv2