34use ieee.std_logic_1164.
all;
35use ieee.numeric_std.
all;
182 STAT(7 downto 2) <= (others=>'0');
in RB_SRES_2 rb_sres_type := rb_sres_init
in RB_SRES_3 rb_sres_type := rb_sres_init
out RB_SRES_OR rb_sres_type
in RB_SRES_1 rb_sres_type
in RB_SRES_2 rb_sres_type := rb_sres_init
in RB_SRES_3 rb_sres_type := rb_sres_init
out RB_SRES_OR rb_sres_type
in RB_SRES_1 rb_sres_type
in RB_SRES_4 rb_sres_type := rb_sres_init
RB_ADDR slv16 :=( others => '0')
RB_ADDR slv16 :=( others => '0')
RDIV slv8 :=( others => '0')
RB_ADDR slv16 := rbaddr_rbmon
in RB_SRES_SUM rb_sres_type
RB_ADDR slv16 := rbaddr_tester
RB_ADDR slv16 :=( others => '0')
slv16 := x"fe00" rbaddr_bram
rb_sres_type := rb_sres_init RB_SRES_BRAM
rb_sres_type := rb_sres_init RB_SRES_TIM1
rb_sres_type := rb_sres_init RB_SRES_TEST
rb_sres_type := rb_sres_init RB_SRES_TIM0
rb_sres_type := rb_sres_init RB_SRES_SUM1
slv16 := x"fe11" rbaddr_tim1
rb_sres_type := rb_sres_init RB_SRES_EMON
rb_sres_type := rb_sres_init RB_SRES_MON
slv16 := x"ffd0" rbaddr_eyemon
slv16 :=( others => '0') RB_LAM_TEST
slv16 := x"fe10" rbaddr_tim0
in RB_SRES_TOP rb_sres_type
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8