37use ieee.std_logic_1164.
all;
38use ieee.numeric_std.
all;
53end record iist_line_type;
63end record iist_mreq_type;
70end record iist_sres_type;
out IIST_OUT iist_line_type
out IIST_MREQ iist_mreq_type
in IIST_SRES iist_sres_type
in IIST_BUS iist_bus_type
slv16 := slv( to_unsigned( 8#160100#, 16) ) ibaddr_dz11
iist_bus_type :=( others => iist_line_init) iist_bus_init
iist_line_type :=( '1', '0', '0', "0000", "0000", '0', '0') iist_line_init
iist_sres_type :=( '0', '0') iist_sres_init
slv16 := slv( to_unsigned( 8#177560#, 16) ) ibaddr_dl11
( 3 downto 0) iist_line_type iist_bus_type
iist_mreq_type :=( '0', '0') iist_mreq_init
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
IB_ADDR slv16 := slv( to_unsigned( 8#160100#, 16) )
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 8 downto 2) slv9_2
std_logic_vector( 15 downto 1) slv16_1
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8
std_logic_vector( 1 downto 0) slv2