108use ieee.std_logic_1164.
all;
109use ieee.numeric_std.
all;
253 IIST: if sys_conf_ibd_iist generate
295 KW11P: if sys_conf_ibd_kw11p generate
313 DEUNA: if sys_conf_ibd_deuna generate
327 RHRP: if sys_conf_ibd_rhrp generate
343 RL11: if sys_conf_ibd_rl11 generate
358 RK11: if sys_conf_ibd_rk11 generate
373 TM11: if sys_conf_ibd_tm11 generate
387 DL11_0: if sys_conf_ibd_dl11_0 = 0 generate
404 DL11_0BUF: if sys_conf_ibd_dl11_0 > 0 generate
407 AWIDTH => sys_conf_ibd_dl11_0
)
421 end generate DL11_0BUF;
423 DL11_1: if sys_conf_ibd_dl11_1 = 0 generate
443 DL11_1BUF: if sys_conf_ibd_dl11_1 > 0 generate
448 AWIDTH => sys_conf_ibd_dl11_1
)
462 end generate DL11_1BUF;
464 DZ11: if sys_conf_ibd_dz11 > 0 generate
468 AWIDTH => sys_conf_ibd_dz11
)
484 PC11: if sys_conf_ibd_pc11 = 0 generate
501 PC11BUF: if sys_conf_ibd_pc11 > 0 generate
505 AWIDTH => sys_conf_ibd_pc11
)
519 end generate PC11BUF;
521 LP11: if sys_conf_ibd_lp11 = 0 generate
536 LP11BUF: if sys_conf_ibd_lp11 > 0 generate
540 AWIDTH => sys_conf_ibd_lp11
)
552 end generate LP11BUF;
554 M9312: if sys_conf_ibd_m9312 generate
630 EI_REQ(23 downto 18) <= (others=>'0');
667 RB_LAM(15 downto 11) <= (others=>'0');
INTMAP intmap24_array_type := intmap24_array_init
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
out IIST_OUT iist_line_type
out IIST_MREQ iist_mreq_type
in IIST_SRES iist_sres_type
in IIST_BUS iist_bus_type
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
IB_ADDR slv16 := slv( to_unsigned( 8#160100#, 16) )
slv16 := slv( to_unsigned( 8#160100#, 16) ) ibaddr_dz11
slbit := '0' EI_REQ_DZ11TX
ib_sres_type := ib_sres_init IB_SRES_TM11
slbit := '0' EI_REQ_KW11L
iist_mreq_type := iist_mreq_init IIST_MREQ
ib_sres_type := ib_sres_init IB_SRES_SDREG
ib_sres_type := ib_sres_init IB_SRES_LP11
slbit := '0' EI_REQ_DL11TX_1
iist_bus_type := iist_bus_init IIST_BUS
slbit := '0' EI_REQ_KW11P
iist_line_type := iist_line_init IIST_OUT_0
slbit := '0' EI_REQ_DL11TX_0
ib_sres_type := ib_sres_init IB_SRES_3
ib_sres_type := ib_sres_init IB_SRES_2
ib_sres_type := ib_sres_init IB_SRES_DL11_0
ib_sres_type := ib_sres_init IB_SRES_RHRP
slbit := '0' EI_ACK_DL11TX_1
slbit := '0' EI_ACK_DL11TX_0
ib_sres_type := ib_sres_init IB_SRES_KW11L
ib_sres_type := ib_sres_init IB_SRES_DZ11
slbit := '0' EI_ACK_KW11L
slbit := '0' EI_REQ_DZ11RX
slbit := '0' EI_REQ_DL11RX_1
slv24_1 :=( others => '0') EI_REQ
slbit := '0' EI_ACK_PC11PTP
slbit := '0' EI_REQ_DEUNA
ib_sres_type := ib_sres_init IB_SRES_M9312
slbit := '0' EI_ACK_DL11RX_0
intmap24_array_type :=( intmap_init, intmap_init, intmap_init, intmap_init, intmap_init, intmap_init,( 8#104#, 6),( 8#260#, 6),( 8#100#, 6),( 8#120#, 5),( 8#254#, 5),( 8#160#, 5),( 8#220#, 5),( 8#224#, 5),( 8#310#, 5),( 8#314#, 5),( 8#060#, 4),( 8#064#, 4),( 8#300#, 4),( 8#304#, 4),( 8#070#, 4),( 8#074#, 4),( 8#200#, 4), intmap_init) conf_intmap24
ib_sres_type := ib_sres_init IB_SRES_IIST
ib_sres_type := ib_sres_init IB_SRES_4
slv24_1 :=( others => '0') EI_ACK
ib_sres_type := ib_sres_init IB_SRES_RL11
slbit := '0' EI_ACK_PC11PTR
ib_sres_type := ib_sres_init IB_SRES_1
slbit := '0' EI_REQ_DL11RX_0
ib_sres_type := ib_sres_init IB_SRES_PC11
slbit := '0' EI_ACK_DL11RX_1
slv16 := slv( to_unsigned( 8#176500#, 16) ) ibaddr_dl11_1
ib_sres_type := ib_sres_init IB_SRES_RK11
slv8 :=( others => '0') RLIM_CEV
ib_sres_type := ib_sres_init IB_SRES_KW11P
ib_sres_type := ib_sres_init IB_SRES_DL11_1
slbit := '0' EI_REQ_PC11PTP
slbit := '0' EI_ACK_DEUNA
ib_sres_type := ib_sres_init IB_SRES_DEUNA
slbit := '0' RB_LAM_DL11_0
slbit := '0' EI_REQ_PC11PTR
iist_sres_type := iist_sres_init IIST_SRES
slbit := '0' EI_ACK_KW11P
slbit := '0' RB_LAM_DL11_1
slbit := '0' EI_ACK_DZ11RX
slbit := '0' RB_LAM_DEUNA
slbit := '0' EI_ACK_DZ11TX
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 8 downto 2) slv9_2
std_logic_vector( 15 downto 1) slv16_1
std_logic_vector( 23 downto 1) slv24_1
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8