50use ieee.std_logic_1164.
all;
51use ieee.numeric_std.
all;
52use ieee.std_logic_textio.
all;
191 B2CD :
byte2cdata -- byte stream -> 9bit comma,data
204 CD2B :
cdata2byte -- 9bit comma,data -> byte stream
221 variable oline : line;
222 variable rts_last : slbit := '0';
223 variable ncycle : integer := 0;
226 wait until rising_edge(CLK);
227 if RTS_N /= rts_last then
228 writetimestamp(oline, CLK_CYCLE, ": rts ");
229 write(oline, string'(" RTS_N "));
230 write(oline, rts_last, right, 1);
231 write(oline, string'(" -> "));
232 write(oline, RTS_N, right, 1);
233 write(oline, string'(" after "));
234 write(oline, ncycle, right, 5);
235 write(oline, string'(" cycles"));
236 writeline(output, oline);
240 ncycle := ncycle + 1;
242 end process proc_moni;
in CLKDIV slv( CDWIDTH- 1 downto 0)
in CLKDIV slv( CDWIDTH- 1 downto 0)
std_logic_vector( 12 downto 0) slv13
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 8 downto 0) slv9
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 7 downto 0) slv8
slv8 :=( others => '0') RXDATA
slv13 := slv( to_unsigned( c_cdinit, CDWIDTH) ) CLKDIV
slv8 :=( others => '0') TXDATA