31use ieee.std_logic_1164.
all;
32use ieee.numeric_std.
all;
64 RELAY :
boolean := false);
82component bp_rs232_4l4l_iob
is
84 RELAY :
boolean := false);
87 RESET :
in slbit := '
0';
160component sn_humanio_demu
is
162 DEBOUNCE :
boolean := true);
165 RESET :
in slbit := '
0';
206component rgbdrv_binary
is
208 DWIDTH :
positive :=
8);
211 RESET :
in slbit := '
0';
213 DIMCNTL :
in slv(DWIDTH
-1 downto 0);
214 DIM :
in slv(DWIDTH
-1 downto 0);
out O_LED slv( LWIDTH- 1 downto 0)
out SWI slv( SWIDTH- 1 downto 0)
in I_BTN slv( BWIDTH- 1 downto 0)
in I_SWI slv( SWIDTH- 1 downto 0)
out BTN slv( BWIDTH- 1 downto 0)
in LED slv( LWIDTH- 1 downto 0)
in DIMB slv( DWIDTH- 1 downto 0)
in DIMCNTL slv( DWIDTH- 1 downto 0)
in DIMG slv( DWIDTH- 1 downto 0)
in DIMR slv( DWIDTH- 1 downto 0)
out DIMCNTL slv( DWIDTH- 1 downto 0)
CLK1_GENTYPE string := "MMCM"
CLK0_VCODIV positive := 1
CLKIN_PERIOD real := 10.0
CLK1_MSECDIV positive := 1000
CLK0_CDUWIDTH positive := 7
CLK2_OUTDIV positive := 1
CLK1_VCOMUL positive := 1
CLK1_VCODIV positive := 1
CLK23_VCODIV positive := 1
CLK0_MSECDIV positive := 1000
CLK1_CDUWIDTH positive := 7
CLKIN_JITTER real := 0.01
STARTUP_WAIT boolean := false
CLK0_USECDIV positive := 50
CLK23_GENTYPE string := "PLL"
CLK0_OUTDIV positive := 1
CLK23_VCOMUL positive := 1
CLK0_GENTYPE string := "PLL"
CLK1_OUTDIV positive := 1
CLK0_VCOMUL positive := 1
CLK1_USECDIV positive := 50
CLK3_OUTDIV positive := 1
CLK1_GENTYPE string := "MMCM"
CLK0_VCODIV positive := 1
CLKIN_PERIOD real := 10.0
CLK1_MSECDIV positive := 1000
CLK0_CDUWIDTH positive := 7
CLK1_VCOMUL positive := 1
CLK1_VCODIV positive := 1
CLK0_MSECDIV positive := 1000
CLK1_CDUWIDTH positive := 7
CLKIN_JITTER real := 0.01
STARTUP_WAIT boolean := false
CLK0_USECDIV positive := 50
CLK0_OUTDIV positive := 1
CLK0_GENTYPE string := "PLL"
CLK1_OUTDIV positive := 1
CLK0_VCOMUL positive := 1
CLK1_USECDIV positive := 50
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 2 downto 0) slv3
std_logic_vector( 7 downto 0) slv8
std_logic_vector( 1 downto 0) slv2
in DIN slv( 4*( 2** DCWIDTH)- 1 downto 0)
out ANO_N slv(( 2** DCWIDTH)- 1 downto 0)
in DP slv(( 2** DCWIDTH)- 1 downto 0)
in DSP_DP slv(( 2** DCWIDTH)- 1 downto 0)
out O_LED slv( LWIDTH- 1 downto 0)
in DSP_DAT slv( 4*( 2** DCWIDTH)- 1 downto 0)
out SWI slv( SWIDTH- 1 downto 0)
in I_BTN slv( BWIDTH- 1 downto 0)
in I_SWI slv( SWIDTH- 1 downto 0)
out BTN slv( BWIDTH- 1 downto 0)
out O_ANO_N slv(( 2** DCWIDTH)- 1 downto 0)
in LED slv( LWIDTH- 1 downto 0)